Intel Foundry Services Ushers in a New Era

 

 

In April, Intel Foundry Services (IFS) and Arm announced a  multigenerational agreement to enable chip designers to build low-power compute system-on-chip (SoCs) on Intel technology. We are excited to provide our customers with the opportunity to design their mobile SoCs on Intel’s leading-edge 18A process technology paired with the latest, most powerful Arm CPU core — the  recently launched next-generation Cortex-X4 — for improved power and performance.

This is a great sign of the momentum building around IFS, and it is part of the reason why I was eager to take on leadership of the organization in March. IFS is on a remarkable journey, and now that I’ve spent some time with the organization, I want to share more about why our mission is critically important for foundry customers around the world, for Intel and for me.

An Enormous Opportunity

I first joined Intel in 1981 at the dawn of the PC era and returned in 2021 when our CEO Pat Gelsinger introduced Intel’s IDM 2.0 strategy. In hearing his vision, I was motivated by the opportunity to help restore Intel’s global leadership in the growing semiconductor industry.

The global demand for semiconductors continues to see sustained, long-term growth, and the chip industry is expected to reach $1 trillion in sales by the end of the decade. This is an unprecedented opportunity. At the same time, 80% of the world’s chip manufacturing capacity is concentrated in Asia, and many foundry customers are looking for more options.

The industry needs resilient and globally diverse supply chains. Intel is one of only three companies in the world that currently make leading-edge chips. And until IFS was created, Intel was the only one without a commercial foundry. By tapping into Intel’s leading-edge manufacturing capabilities, legendary supply chain and strong partner ecosystem, IFS has an ambitious goal to become the second-largest foundry by 2030.

Our Differentiated Approach

Part of how we’ll power growth is by going beyond the traditional foundry offerings and building IFS as the world’s first  open system foundry, leading the industry transition from standard monolithic system-on-chip to “systems of chips” in a package. Our combined offerings of  wafer fabricationadvanced process and  packaging technologychiplet standards, software, robust ecosystem, and  assembly and test capabilities will help our customers build innovative silicon designs and deliver full end-to-end customizable products.

Intel’s advanced packaging technologies are a key IFS differentiator, enabling customers to pack more features into each new generation while staying within the same cost, power and physical footprints. Today, some of our largest IFS customers, such as Amazon, Cisco and the  U.S. Department of Defense (DoD), use our packaging solutions. The DoD needs leading-edge, onshore, advanced foundry and packaging capabilities more than ever, and IFS is ready to help the U.S. government make a secure transition to commercial foundries.

Customer-First

Intel’s people and culture have always been major pillars of our company’s success and resiliency – this was one of the reasons I returned. Over the past two years, Intel has developed key new skill sets and operating models to drive a cultural shift to a customer-first approach in support of foundry customers. This is a top priority for IFS as being a foundry means being a customer service business above all else  and engaging deeply with customers throughout the design and manufacturing process.

As part of this shift within Intel, we treat external foundry customers and our internal business units equally, while providing confidentiality and protection for intellectual property (IP) and establishing dedicated customer capacity corridors. To do this, we’re implementing an internal foundry model that establishes  consistent processes, systems and guardrails between our business units and our design and manufacturing teams. This puts external foundry customers on the same footing as our internal product groups. IFS customers can be assured of the technical excellence, innovation and capacity they’ve signed up for.  

Implementing Our Strategy

We know from experience that building a successful foundry business quickly from the ground up is one of the hardest tasks we could take on. Now, in implementing our IDM 2.0 strategy, we’re taking steps to make sure we and our customers are successful.

Intel is preparing to support future demand from foundry customers through its  global built-to-scale manufacturing capacity approach as it capitalizes on fab expansions in the U.S., EU and Israel. Getting our factories ready to support foundry customers will allow us to address the growing global demand for leading-edge chips, while also achieving the scale necessary to continue investing in advanced technologies.

We’re also building a robust ecosystem of best-in-class electronic design automation (EDA), silicon IP, design service, cloud, and U.S. military, aerospace and government alliances (USMAG) to help Intel’s foundry customers bring their silicon products from idea to implementation. Through the  IFS Accelerator program, we deliver a seamless interface with Intel’s process technologies and accelerate customer innovation on IFS manufacturing platforms.

The Path Forward

IFS remains dedicated to ensuring that foundry customer products receive our utmost focus in terms of service, technology enablement and capacity commitments. As we build and expand on our future in foundry services offerings, we look to our strategic ecosystem partners, such as Arm, Cadence, Synopsys, and others, for support. We welcome the SoC design community to utilize the strength of Intel to drive the next level of semiconductor innovation.

IFS has come a long way in the past two years and I’m passionate about where we can take it in the years ahead. IFS will have its signature event later this year where we will talk about our progress and how we’re working with our ecosystem partners to power our customers’ innovations. I look forward to sharing more with you as we continue to implement our strategy, build out our capabilities and create a new leading-edge foundry alternative for the world.

Stuart Pann is Intel senior vice president and general manager of Intel Foundry Services.

Featured Video
Editorial
Jobs
Senior Principal Mechanical Engineer for General Dynamics Mission Systems at Canonsburg, Pennsylvania
Geodetic Analyst, GIS Center (1282) for Idaho State University at Pocatello, Idaho
GEOGRAPHIC INFORMATION SYSTEM (GIS) COORDINATOR for Lassen County at Susanville, California
GIS Analyst for San Bernardino County Transportation Authority at San Bernardino, California
Upcoming Events
IMTS 2024 – The International Manufacturing Technology Show at McCormick Place 2301 S Lake Shore Dr Chicago IL - Sep 9 - 14, 2024
FABTECH Orlando 2024 at Orange County Convention Center Orlando FL - Oct 15 - 17, 2024
TIMTOS 2025 at Nangang Exhibition Center Hall 1 & 2 (TaiNEX 1 & 2) TWTC Hall Taipei Taiwan - Mar 3 - 8, 2025



© 2024 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
AECCafe - Architectural Design and Engineering EDACafe - Electronic Design Automation GISCafe - Geographical Information Services TechJobsCafe - Technical Jobs and Resumes ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise