Synopsys' Design Compiler Graphical Adopted by Renesas for Automotive ICs

Achieves Higher Frequency and Smaller Area

MOUNTAIN VIEW, Calif., Sept. 9, 2015 — (PRNewswire) — Highlights:

  • Design Compiler Graphical deployed for implementation of Renesas designs.
  • Significant reduction in routing congestion leads to faster timing and smaller area.
  • Congestion optimization and tight correlation to IC Compiler deliver faster design closure.

Synopsys, Inc. (Nasdaq: SNPS), today announced that Renesas, a leading solution provider delivering highly reliable and high-safety products for automotive, has deployed Synopsys' Design Compiler® Graphical solution for their designs. Improving performance, while reducing power and area, is critical for Renesas to offer high performance balanced with very low power consumption over a wide and scalable range of products. To achieve these goals, Renesas deployed a design flow that combines Design Compiler Graphical and Synopsys' IC Compiler™ place-and-route solution.

"As exciting infotainment and safety options become significant criteria in the purchase of new products, our customers are looking to Renesas to deliver higher performance, energy-efficient products to market," said Tatsuji Kagatani, manager of Design Automation Department at Renesas System Design Co., Ltd. "Design Compiler Graphical's significant area and routing congestion reduction, combined with IC Compiler for place and route, enable our design teams to achieve faster timing and smaller area. We have widely deployed Design Compiler Graphical for our products currently using our 40-nanometer process."

Design Compiler Graphical addresses challenging requirements, such as performance, area, power and congestion, at all process nodes. It provides IC designers with visualization of congested circuit regions and performs automated synthesis optimizations to minimize congestion in these areas. Additionally, new optimization technologies monotonically reduce design area and leakage power by an average of 20 percent while maintaining timing QoR. Design Compiler Graphical shares physical technologies with IC Compiler and IC Compiler II place-and-route solutions to deliver highly correlated results for timing, area, power and routability, reducing design iterations and shaving critical schedule time.

"In the automotive market that Renesas serves, performance, low power consumption and cost-effectiveness are essential goals for their designers," said Bijan Kiani, vice president of marketing in Synopsys' Design Group. "Design Compiler Graphical's advanced optimization technologies and tight links with IC Compiler enable Renesas to deliver differentiated, high-performance products to market quickly."

About Synopsys
Synopsys, Inc. (Nasdaq: SNPS) is the Silicon to Software™ partner for innovative companies developing the electronic products and software applications we rely on every day. As the world's 16th largest software company, Synopsys has a long history of being a global leader in electronic design automation (EDA) and semiconductor IP, and is also growing its leadership in software quality and security solutions. Whether you're a system-on-chip (SoC) designer creating advanced semiconductors, or a software developer writing applications that require the highest quality and security, Synopsys has the solutions needed to deliver innovative, high-quality, secure products. Learn more at www.synopsys.com.

Editorial Contacts:
Sheryl Gulizia
Synopsys, Inc. 
650-584-8635 
Email Contact

Lisa Gillette-Martin
MCA, Inc.
650-968-8900 ext. 115 
Email Contact 

 

To view the original version on PR Newswire, visit: http://www.prnewswire.com/news-releases/synopsys-design-compiler-graphical-adopted-by-renesas-for-automotive-ics-300139677.html

SOURCE Synopsys, Inc.

Contact:
Synopsys, Inc.
Web: http://www.synopsys.com

Featured Video
Editorial
Latest Blog Posts
Sanjay GangalMCADCafe Guest Blog
by Sanjay Gangal
Industry Predictions for 2025: COMSOL
Sanjay GangalMCADCafe Editorial
by Sanjay Gangal
MCADCafe Industry Predictions for 2025 – ProShop
Jobs
Mechanical Test Engineer, Platforms Infrastructure for Google at Mountain View, California
Mechanical Engineer 3 for Lam Research at Fremont, California
Mechanical Manufacturing Engineering Manager for Google at Sunnyvale, California
Equipment Engineer, Raxium for Google at Fremont, California
Mechanical Engineer 2 for Lam Research at Fremont, California
Senior Principal Mechanical Engineer for General Dynamics Mission Systems at Canonsburg, Pennsylvania
Upcoming Events
Collaborate North America 2025 at Novi MI - Jan 28, 2025
Celebrate Manufacturing Excellence at Anaheim Convention Center Anaheim CA - Feb 4 - 6, 2025
3DEXPERIENCE World 2025 at George R. Brown Convention Center Houston TX - Feb 23 - 26, 2025
TIMTOS 2025 at Nangang Exhibition Center Hall 1 & 2 (TaiNEX 1 & 2) TWTC Hall Taipei Taiwan - Mar 3 - 8, 2025



© 2025 Internet Business Systems, Inc.
670 Aberdeen Way, Milpitas, CA 95035
+1 (408) 882-6554 — Contact Us, or visit our other sites:
AECCafe - Architectural Design and Engineering EDACafe - Electronic Design Automation GISCafe - Geographical Information Services TechJobsCafe - Technical Jobs and Resumes ShareCG - Share Computer Graphic (CG) Animation, 3D Art and 3D Models
  Privacy PolicyAdvertise